Design partitioning and layer assignment for 3D integrated circuits using tabu search and simulated annealing

3D integrated circuits (3D-ICs) is an emerging technology with lots of potential. 3D-ICs enjoy small footprint area and vertical interconnections between different dies which allow shorter wirelength among gates. Hence, they exhibit both lesser interconnect delays and power consumption. The design flow of 3D integrated circuits consists of many steps, the first of which is the 3D Partitioning and Layer Assignment. This step has a significant importance as its outcome will influence the performance of subsequent steps. Like other partitioning problems this one is also an NP-hard. The approach taken to address this critical task is the application of iterative heuristics (Sait & Youssef, 1999), as they have been proven to be of great value when it comes to handling such problems. Many aspects have been taken into consideration when attempting to solve this problem. These factors include layer assignment, location of I/O terminals, TSV minimization, and area balancing. Tabu Search and Simulated Annealing are employed and engineered to tackle this task. Results on well-known benchmarks show that both these techniques produce high quality solutions. The average percentage of the area deviation between layers is around 2.4% and the total number of required TSVs is reduced.

Saved in:
Bibliographic Details
Main Authors: Sait,Sadiq M., Oughali,Feras Chikh, Al-Asli,Mohammed
Format: Digital revista
Language:English
Published: Universidad Nacional Autónoma de México, Instituto de Ciencias Aplicadas y Tecnología 2016
Online Access:http://www.scielo.org.mx/scielo.php?script=sci_arttext&pid=S1665-64232016000100067
Tags: Add Tag
No Tags, Be the first to tag this record!